Workflow
NXE:3800E EUV systems
icon
Search documents
Will AMAT's New AI-Chip Manufacturing Systems Bring Traction?
ZACKS· 2025-10-20 10:01
Core Insights - Applied Materials (AMAT) is experiencing increased demand due to the integration of AI in global data centers and technology sectors, leading to opportunities in fabrication, patterning, and advanced packaging systems [1] Group 1: New Product Innovations - AMAT has launched three new semiconductor manufacturing systems aimed at enhancing AI chip production: Kinex Bonding System, Centura Xtera Epi System, and PROVision 10 eBeam Metrology System [2][8] - The Kinex Bonding System is the first integrated die-to-wafer hybrid bonder, improving accuracy, consistency, and throughput while reducing power consumption and costs [2] - The Centura Xtera Epi System focuses on producing void-free Gate-All-Around (GAA) transistors, cutting gas usage by 50% and enhancing transistor performance and reliability [3] - PROVision 10 eBeam Metrology offers 50% higher image resolution and 10 times faster imaging capabilities [3] Group 2: Competitive Landscape - Lam Research (LRCX) has secured significant technology wins with its Akara etch system for 3D DRAM architectures, supported by customer investments in advanced memory technologies [5] - ASML Holding (ASML) is seeing strong demand from DRAM and logic customers, with multiple clients adopting EUV lithography to reduce cycle times and costs [6] Group 3: Financial Performance and Valuation - AMAT's shares have increased by 38.3% year-to-date, slightly below the Electronics - Semiconductors industry's growth of 39.8% [7] - The company trades at a forward price-to-sales ratio of 6.18X, which is lower than the industry average of 9.41X [9] - The Zacks Consensus Estimate for AMAT's fiscal 2025 earnings indicates a year-over-year growth of 8.21%, although the estimate has been revised downward recently [10]
AMAT's Logic & DRAM Offerings Gain Traction: How Long Will it Sustain?
ZACKS· 2025-10-14 15:21
Core Insights - Applied Materials (AMAT) is experiencing growth in its Logic and DRAM businesses due to increasing demand for AI infrastructure [1][7] - The company is transitioning to Gate-All-Around (GAA) transistors and enhancing backside power delivery, with metal deposition contributing approximately $1.2 billion [1][7] - DRAM revenues surged by about 50% year-over-year in Q3 of fiscal 2025, exceeding $1 billion in etch sales, with expectations for continued growth through fiscal 2026 [3][7] Logic Business - AMAT's Logic segment is benefiting from the shift to GAA transistors and backside power delivery, with strong metal deposition driving significant revenue [1][7] - The company is in the early stages of molybdenum deposition, aiming to produce around 100,000 GAA wafers monthly, with full-scale production anticipated in 2026-2027 [2] DRAM Business - DRAM sales have increased approximately 50% year-over-year, with strong growth expected in vertical transistors and high-bandwidth memory (HBM) [3][7] - The demand for AI is enhancing both advanced logic for energy-efficient computing and HBM for improved memory bandwidth [3] Competitive Landscape - Lam Research (LRCX) has secured significant etch wins with its Akara etch system for 3D DRAM architectures, supported by customer investments in DDR5 and HBM [4] - ASML Holding (ASML) is seeing strong demand from DRAM and logic customers, with multiple clients adopting EUV lithography to reduce cycle times and costs [5] Financial Performance - AMAT's shares have increased by 34.9% year-to-date, compared to the Electronics - Semiconductors industry's growth of 41.3% [6] - The company trades at a forward price-to-sales ratio of 6.03X, which is lower than the industry average of 9.55X [8] Earnings Estimates - The Zacks Consensus Estimate for AMAT's fiscal 2025 earnings indicates a year-over-year growth of 8.21%, although estimates have been revised downward in the past 30 days [9]
AMAT's Advanced DRAM Gains Traction: Will it Sustain its Momentum?
ZACKS· 2025-09-18 16:16
Core Insights - Applied Materials (AMAT) is witnessing significant momentum in the DRAM segment, driven by the demand from artificial intelligence and high-performance computing, making DRAM a key growth engine for the company [1] Revenue Growth - AMAT anticipates approximately 50% year-over-year revenue growth from leading-edge DRAM customers in fiscal 2025, highlighting the critical role of DRAM in supporting AI workloads and high-bandwidth applications [2] - The strength in DRAM has led to AMAT's etch business achieving over $1 billion in quarterly revenues for the first time [2] Innovations and Solutions - Customers are increasingly adopting new AMAT solutions to meet the stringent requirements of high-performance compute memory, including next-generation gap fill systems, advanced CVD products, and the Pioneer dielectric patterning system, all aimed at enhancing efficiency and performance in advanced DRAM production [3] Future Opportunities - Looking ahead, AMAT sees potential in the transition to vertical transistor (4F²) architectures around 2027-2028, which could result in more than five points of incremental market share gain for the company [4] - The growth of high-bandwidth memory (HBM) is also a significant driver, with HBM expanding at an annual rate of 30% to 40% and currently accounting for about 15% of total DRAM capacity, further strengthening demand for AMAT's equipment [5] Competitive Landscape - Competitors like Lam Research (LRCX) and ASML Holding (ASML) are also making strides in the DRAM market, with LRCX securing critical etch wins and ASML experiencing strong demand for its EUV systems from DRAM customers [6][7] Financial Performance - AMAT's shares have increased by 9.5% year-to-date, compared to a 33.3% growth in the Electronics - Semiconductors industry [8] - The company is trading at a forward price-to-sales ratio of 4.88X, which is lower than the industry average of 9.3X [10] - The Zacks Consensus Estimate for AMAT's fiscal 2025 earnings indicates a year-over-year growth of 9.48%, with upward revisions for fiscal 2026 estimates in the past month [11]
AMAT's Etch Business Crosses $1B: Can DRAM Momentum Continue?
ZACKS· 2025-08-27 14:36
Core Insights - Applied Materials (AMAT) is experiencing significant growth in its memory business, particularly driven by strong demand for advanced Dynamic Random Access Memory (DRAM) [1][10] - The etch business of Applied Materials achieved over $1 billion in quarterly revenues for the first time, attributed to increased customer investments in high-performance DRAM for AI workloads [1][10] - The company secured new production positions at major DRAM manufacturers for its advanced chemical vapor deposition system and Pioneer dielectric patterning system, aimed at next-generation memory requirements [2] Future Outlook - Customers are preparing to transition to vertical transistor or 4F2 architectures, expected to begin in 2027-2028, with Applied Materials anticipating an opportunity to gain over five points of incremental market share [3] - For fiscal 2025, Applied Materials expects revenues from leading-edge DRAM customers to increase by approximately 50% [3][4] - The company's DRAM portfolio is currently delivering record results, with AI-driven workloads increasing the demand for high-bandwidth, high-performance memory [4] Competitive Landscape - Competitors like Lam Research and ASML Holding are also experiencing strong momentum as memory makers invest in next-generation technologies [5] - Lam Research secured new application wins at a major DRAM manufacturer and recorded its highest DRAM revenues in fiscal 2025, driven by node upgrades and higher demand for High Bandwidth Memory (HBM) [6] - ASML Holding reported strong customer demand for its products, particularly from DRAM and logic customers ramping leading-edge nodes using its EUV systems [7] Valuation and Performance - Applied Materials shares have gained 1.2% year to date, compared to the Electronics - Semiconductors industry's growth of 16.4% [8] - The company trades at a forward price-to-sales ratio of 4.47X, which is lower than the industry average of 8.65X [12] - The Zacks Consensus Estimate for Applied Materials' fiscal 2025 and 2026 earnings implies year-over-year growth of 8.3% and 1.5%, respectively, with recent downward revisions in estimates [15][16]
AMAT Stock Trades at a P/E of 16.69X: Should You Buy, Sell or Hold?
ZACKS· 2025-08-19 16:31
Group 1: Company Valuation and Performance - Applied Materials (AMAT) stocks are trading at a forward 12-month P/E of 16.69X, which is significantly lower than the Zacks Electronics - Semiconductors industry's P/E of 34.47X, indicating a potential discount [1][4] - Year-to-date, AMAT stock has increased by 0.5%, while the industry has seen a return of 19.7%, highlighting AMAT's underperformance [2] - AMAT shares are trading below both the 200-day and 50-day moving averages, suggesting a bearish trend in the stock [14][15] Group 2: Market Challenges - Increasing U.S.-China tensions and export restrictions on semiconductor manufacturing equipment are major headwinds for AMAT, particularly affecting sales in China, which is a crucial market for the company [5][7] - The company has experienced a sharp decline in its China business from Q4 2024 to Q2 2025, with expectations of revenue decline in Q4 due to capacity digestion in China [6] - The broader semiconductor market is recovering, but memory markets, including DRAM and NAND, remain weak, with only a gradual recovery expected in 2025, which could impact AMAT's revenue growth [8] Group 3: Competitive Landscape - AMAT faces competition from companies like KLA Corporation, Lam Research, and ASML Holdings, which are gaining traction in the semiconductor supply chain market [8][9][10] - Lam Research's memory segment is benefiting from rising demand for AI chips, while KLA Corporation's advanced process control solutions are also in demand due to AI and high-performance computing [9][10]
LRCX's ALD Moly Adoption Ramps Up: Will it be a Key Growth Driver?
ZACKS· 2025-08-12 14:55
Core Insights - Lam Research (LRCX) is enhancing its position in molybdenum (moly) deposition technology, which is expected to increase its market share in the chip equipment sector [1] - Molybdenum is becoming increasingly important as the semiconductor industry progresses below the 2nm node, addressing resistance-capacitance issues associated with traditional tungsten films [2] - The adoption of moly deposition is anticipated to significantly expand Lam Research's served available market (SAM) for metal deposition per wafer at advanced nodes [2][5] Company Developments - Lam Research's Halo ALD Moly tools are being adopted by multiple NAND customers, with expectations of increased usage as manufacturers scale NAND capacity beyond 200 layers [3] - A significant win was secured in Q4 of fiscal 2025 from a leading foundry for next-generation applications, showcasing Lam's multi-station architecture that supports both plasma and thermal processing [4] - Moly adoption, along with advanced packaging and high-bandwidth memory, is projected to expand Lam Research's SAM to the high-30% range of wafer fabrication equipment in the coming years [5] Competitive Landscape - Competitors like Applied Materials (AMAT) and ASML Holding (ASML) are also experiencing growth as memory manufacturers invest in next-generation technologies [6] - Applied Materials is seeing a resurgence in NAND investment, with projected revenue growth exceeding 40% in fiscal 2025 due to customer upgrades [7] - ASML Holding reported that 31% of its net system sales were derived from memory, driven by strong demand from DRAM and logic customers [8] Financial Performance - Lam Research's shares have increased by 41.9% year-to-date, outperforming the Zacks Electronics – Semiconductors industry's growth of 19.7% [9] - The forward price-to-earnings ratio for Lam Research stands at 23.03, significantly lower than the industry's average of 34.32 [12] - The Zacks Consensus Estimate for Lam Research's fiscal 2026 earnings has been revised upward to $4.38 per share, indicating a year-over-year growth of 5.8% [15]
Will ALD and Etch Deal Wins Anchor LRCX's Systems Revenue Growth?
ZACKS· 2025-07-14 14:25
Core Insights - Lam Research Corporation (LRCX) is experiencing strong momentum in its systems business, with third-quarter fiscal 2025 systems revenues reaching $3.04 billion, a 15.6% sequential increase and a 27% year-over-year growth, contributing approximately 64% of total revenues [2][11] Group 1: Business Performance - The growth in systems revenue is driven by advanced products that cater to next-generation memory and logic technologies, particularly the Striker SPARC atomic layer deposition (ALD) tool, which has secured multiple wins in spacer applications [3] - The ALTUS Halo system is gaining traction, supporting barrierless atomic layer deposition of molybdenum, which reduces resistance in interconnect layers by 50% compared to legacy technologies, crucial for high I/O performance in 3D NAND for AI workloads [4] - The Akara system is also gaining traction with major DRAM customers, enhancing etch selectivity and precision, which aligns with Lam Research's long-term DRAM roadmaps [5] Group 2: Future Outlook - Lam Research projects fourth-quarter revenues to be approximately $5.0 billion (±$300 million), while management remains cautious about macroeconomic factors that could affect customer spending trends [6] - The strong adoption of Striker, Halo, and Akara, along with rising demand for advanced NAND and DRAM nodes, positions Lam Research's systems business for long-term growth [6] Group 3: Competitive Landscape - Competitors like Applied Materials and ASML Holding are also witnessing strong momentum, with Applied Materials projecting over 40% revenue growth from advanced DRAM customers in fiscal 2025, driven by investments in DDR5 and high-bandwidth memory [7] - ASML Holding reported that 42% of its net system sales came from memory, highlighting strong customer demand for its products [8] Group 4: Valuation and Estimates - Lam Research's shares have gained 40.8% year to date, outperforming the Zacks Electronics – Semiconductors industry's growth of 14.1% [9] - The forward price-to-earnings ratio for Lam Research is 25.34, significantly below the industry's average of 33.24 [13] - The Zacks Consensus Estimate for Lam Research's fiscal 2026 earnings has been revised upward to $4 per share, indicating flat growth compared to fiscal 2025 [16]
AMAT Expects Advanced DRAM Sales to Grow 40%: Can It Keep its Lead?
ZACKS· 2025-06-12 15:26
Core Insights - Applied Materials (AMAT) anticipates significant growth in its memory segment, particularly in advanced dynamic random-access memory (DRAM) technologies, with projected revenue growth of over 40% in fiscal 2025 driven by demand for DDR5 and high-bandwidth memory [1][9] Group 1: Company Performance - In the second quarter of fiscal 2025, AMAT's advanced DRAM customers are expected to contribute to a revenue increase of more than 40% [1][9] - The Sym3 Magnum etch system has generated over $1.2 billion in revenues since its launch in February 2024 [2][9] - AMAT achieved record revenues in its Process Diagnostics and Control Business, supported by Cold Field Emission eBeam technology and advancements in 3D DRAM [3][9] Group 2: Strategic Focus - Management emphasized a focus on critical steps for next-generation DRAM, which has helped establish a strong leadership position in the market [4] - The company projects substantial increases in leading-edge DRAM investments through 2025, driven by investments in wafer fab equipment and 3D DRAM [4] Group 3: Competitive Landscape - Lam Research (LRCX) reported that DRAM accounted for 23% of its systems revenues in the third quarter of fiscal 2025, supported by customer investments in DDR5 and high-bandwidth memory [5] - ASML Holding (ASML) noted strong customer demand for its products, particularly from DRAM and logic customers utilizing its NXE:3800E EUV systems [6] Group 4: Valuation and Estimates - AMAT shares have increased by 6.8% year to date, outperforming the Electronics - Semiconductors industry, which grew by 4.3% [7] - The company trades at a forward price-to-sales ratio of 4.65X, lower than the industry average of 8X [10] - The Zacks Consensus Estimate for AMAT's fiscal 2025 and 2026 earnings implies year-over-year growth of 9.48% and 5.48%, respectively, with upward revisions in estimates for fiscal 2026 and 2027 [13]